電子工作リレー加算器論理回路電子工作

リレー式全加算器を作る

はじめに

前回はリレーで半加算器を作りました。

今回はリレーで全加算器を作りたいと思います。
今回も使用するリレーは941H-2C-5Dです。

全加算器とは?

半加算器に下位からの繰り上げ(桁上がり)も計算できるようにしたものを全加算器といいます。

下位桁から来る桁上りを \(c_i\) として真理値表を書くと下表になります。

詳細は略しますが、この真理値表の論理式を簡略化すると、全加算器は半加算器を2つとOR回路を1つ使って表せます。それが下図です。

全加算器は2回路のリレーを6個使います。

配線図

見ずらいですが、配線図は上図のようになります。

半加算器を2つとOR回路を1つとなっています。

動作確認

上図がリレー式の全加算器の配線例です

動作は動画で確認ください。

まとめ

リレーを6個使用して全加算器を作りました。

次回はリレーで4ビット2進加算器を作ります。

参考文献

・リレーでつくる4ビット2進加算器
http://ogino.c.ooco.jp/gijutu/mono27.html

・論理回路入門

・ゼロから学ぶディジタル論理回路

コメント

タイトルとURLをコピーしました